1. Observe o código abaixo :
module NUCLEO #(parameter DATA_WIDTH=8, parameter ADDR_WIDTH=6)(
input [(DATA_WIDTH-1):0] data, read_addr, write_addr,
input we, read_clock, write_clock,
output reg [(DATA_WIDTH-1):0] q );
reg [DATA_WIDTH-1:0] MEM[2**ADDR_WIDTH-1:0];
always @ (posedge write_clock)
if (we) ram[write_addr] <= data;
always @ (posedge read_clock)
q <= ram[read_addr];
endmodule
Com relação ao texto-base, podemos concluir:
a) É possível somente escrita de dados.
b) É possível somente leitura de dados.
c) É possível escrita e leitura de dados, mas não simultâneas.
d) É possível escrita e leitura de dados de forma simultânea.
e) Não é possível a escrita de dados por se tratar de um circuito sequencial.
Soluções para a tarefa
Respondido por
0
e a questão b
pois e a certa
pois e a certa
Respondido por
3
Resposta:
d) É possível escrita e leitura de dados de forma simultânea. CORRIGIDO PELO AVA
Explicação:
Perguntas interessantes
Artes,
9 meses atrás
Matemática,
9 meses atrás
Matemática,
9 meses atrás
Matemática,
1 ano atrás
Biologia,
1 ano atrás