Matemática, perguntado por reissaopaulo, 4 meses atrás

Dentre os métodos de simplificação utilizados para minimização de circuitos digitais, um dos mais comumente utilizados é o Mapa de Veitch-Karnaugh. A principal vantagem deste método de simplificação de circuitos é o fato de trata-se de uma ferramenta gráfica, que viabiliza a minimização do circuito a partir da análise das condições relevantes do circuito. A figura a seguir apresenta, em (a) e em (b), duas análises do Mapa de Veitch-Karnaugh para a saída X de um determinado circuito combinacional e suas respectivas expressões lógicas.



Uma imagem contendo relógio

Descrição gerada automaticamente

TOCCI, R. J.; WIDMER, N. S.; MOSS, G. L. Digital Systems: principles and applications. 10 ed. New Jersey, US: Pearson. 2007.



Em relação às análises realizadas e as expressões resultantes para a variável X apresentadas em (a) e (b) na figura, avalie as asserções a seguir e a relação proposta entre elas:



I. As análises no Mapa de Karnaugh e as expressões lógicas minimizadas da variável X apresentadas em (a) e (b) são equivalentes.



PORQUE



II. Ambas as expressões possuem, após a simplificação, a mesma quantidade de termos-produtos e de variáveis.



A respeito dessas asserções, assinale a alternativa com a opção correta.

A)
As asserções I e II são proposições verdadeiras, e a II é uma justificativa correta da I.

B)
As asserções I e II são proposições verdadeiras, mas a II não é uma justificativa correta da I.

C)
A asserção I é uma proposição verdadeira, e a II é uma proposição falsa.

D)
A asserção I é uma proposição falsa, e a II é uma proposição verdadeira.

E)
As asserções I e II são proposições falsas.

Anexos:

heliofsp: correto....a) As asserções I e II são proposições verdadeiras, e a II é uma justificativa correta da I.

Soluções para a tarefa

Respondido por heliofsp
2

Resposta:

a) As asserções I e II são proposições verdadeiras, e a II é uma justificativa correta da I.

Explicação

a) As asserções I e II são proposições verdadeiras, e a II é uma justificativa correta da I.asso-a-passo:

Respondido por douglasoliveirapdg
0

Resposta:

letra a

Explicação passo a passo:

As asserções I e II são proposições verdadeiras, e a II é uma justificativa correta da I.

Perguntas interessantes